El alumno aprenderá a diseñar un selector utilizando un porcess y
Transcripción
El alumno aprenderá a diseñar un selector utilizando un porcess y
TUTORIAL “LENGUAJE VHDL” PRÁCTICAS DE LABORATORIO PRÁCTICA 3 CODIFICADOR BINARIO OBJETIVO: El alumno aprenderá a diseñar un selector utilizando un porcess y la instrucción case la cual se utiliza cuando se selecciona una y solo una de las distintas alternativas posibles, además de aprender a enviar caracteres en forma individual a la salida de vectores a la entrada. ESPECIFICACIONES: Se requiere el diseño de un sistema que seleccione entre dos variables de entrada cada una de ellas de cuatro bits llamadas mPC y D. Cuando la línea de selección valga cero lógico a cada una de las cuatro salidas del sistema se le deberán asignar los cuatro bits de la variable de entrada D y cuando la línea de selección valga un uno lógico a cada una de las cuatro salidas del sistema se le deberán asignar los cuatro bits de la variable de entrada mPC. La figura 7.1 muestra el diagrama de bloques de este sistema. Diagrama de bloques del selector. DISEÑO DE SISTEMAS DIGITALES TUTORIAL “LENGUAJE VHDL” PRÁCTICAS DE LABORATORIO CÓDIGO EN VHDL: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity mux2bus is Port ( D : in STD_LOGIC_VECTOR (3 downto 0); mPC : in STD_LOGIC_VECTOR (3 downto 0); SELECTOR : in STD_LOGIC; a : out STD_LOGIC; b : out STD_LOGIC; c : out STD_LOGIC; g : out STD_LOGIC); end mux2bus; architecture Behavioral of mux2bus is begin process ( SELECTOR , D, mPC) begin case SELECTOR is when '0' => a <= D(0); b <= D(1); c <= D(2); g <= D(3); when others => a <= mPC(0); b <= mPC(1); c <= mPC(2); g <= mPC(3); end case; end process; end Behavioral; SIMULACIÓN DISEÑO DE SISTEMAS DIGITALES